功率电感生产厂家
联系我们
热门搜索
点击排行
推荐阅读
猜猜你喜欢的
技术知识 您所在的位置: 首页 > 技术知识

用 FPGA 产生高斯白噪声序列的一种快速方法

来源:    作者:    发布时间:2016-04-25 11:13:40    浏览量:

2.2 折线逼近法

如果直接应用上述映射关系进行均匀分布向高斯分布的转换,则需开大功率电感贴片电感器辟 (218-1) 个物理空间来建立查找表,这几乎不可能实现。但由图 2 可见,其关系曲线在很大区间上表现出线性关系,所以,可以以斜率不同的直线段分段逼近关系曲线。在一定精度要求下,该方法简单易行,占用硬件资源少,适合在 FPGA 中实现,从而实现由服从均匀分布向服从高斯分布的快速转换。图 3 是关系曲线 ( 实线 ) 和 15 段折线逼近法 ( 虚线 ) 的拟合图,由图可见,其实线和虚线拟合得很好,从而证明了折线逼近法能较好的反映映射模压电感器关系。

利用 m 序列的周期特性可降低高斯白噪声任意两个不同时刻的采样信号的相关性。在线性反馈移位寄存器中每隔 r 个同步时钟 ( 其中 r=2i , i 为整数 ) 输出一个状态值作为均匀分布的随机数输入可实现均匀分布向高斯分布的转化。为了选择合适的 r 值,图 4 分别给出了 r 为 0 、 2 、 8 时所生成的 10000 点高斯序列的功率谱。由图 4 可见, r=8 时的功率谱基本水平,即系统产生的高斯白噪声任意两个不同时刻的采样信号可看成统计独立的,这与理论上对高斯白噪声的定义相一致。同时也证明了降低相关性模块是可行和有效的。



3 硬件实现

在 ISE8.1i 开发环境中使用 VHDL 语言可实现上述高斯白噪声发生器的功能。本设计选用 Xil-inx 的 xc3s1200e-4fg320 作为目标器件。其硬件实现框图如图 5 所示。

产生高斯白噪声先后通过两个模块来实现。一是均匀随机数发生模块;二是均匀分布向高斯分布转化模块。其中均匀随机数发生模块包括 m 序列发生器和非相关化处理 ( 降低相关性操作 ) ;均匀分布向高斯分布转化模块包括比较选择器、相应的延时操作以及算术计算模块。

在 ISE8.1i 开发环境中得一体成型电感到的系统功能仿真图如图 6 所示。实验中,系统的输入时钟为 60MHz 。图 6 中的 regl_value 表示线性反馈的移位寄存器在时钟 clk1 上升沿触发的状态值。 reg2_value 表示在同步时钟 clk2 上升沿采样 reg_value1 的值, clk2 是 clk1 的 8 倍分频。1明,本系统可以实现线性反馈移位寄存器每转 8 次取出一个状态值,并可减小不同时刻随机变量之间的相关性 ( 非相关化处理 ) 。根据 reg2_value 并通过比较选择器输出对应直线段的 slope( 斜率珠海电感厂 ) 、 point( 起点的横坐标 ) 、 point_value( 起点的纵坐标 ) 可见, reg2_value_delay 比 reg2_value 可延时半个 clk2 ,因而可保证输入算术计算模块的数据的同步。这样,再经算式: gauss_output=(reg2_value_delay-point)*slope+point_value ,就可得到高斯白噪声序列。为了确保采得稳定的信号值,操作时应在信号持续时间的中间位置进行采样。由于高斯白噪声序列 gauss_output 是 reg2_value_delay ,、 point 、 slope 、 point_value 之间的加、减、乘运算所得到的,所以, gauss_output 有相应延时。

本设计用 Xilinx 的 xc3s1200e-4fg320 为目标器件来对代码进行综合,所用的 FPGA 资源如下:

可见,该方案占用硬件资源较少。

为了验证硬件实现的正确性,还可将其下载到开发板,并采用 ChipScope Pro 8.1i 将硬件产生的高斯白噪声序列通过并口回传,同时将数据导入到 Matlab 。由于 ChipScope 要利用 FPGA 的片内存储空间暂存采样值,所以,一次采集的信号数目有限且与 FPGA 型号有关。笔者将一次采集到的 16384 个样值导入 Maflab 后,再将数据小数化 ( 因为 FPGA 中处理的是定点数 ) ,然后调用 Matlab 中的 psd 函数估计出了其功率谱图。实际结果证明,本系统产生的高斯白噪声与理论值非常接近,也就是说,该方案可行。

由于本系统采用 VHDL 语言编写,故其可移植性较强。当在通信工程中需要带限高斯白噪声时,就可在高斯白噪声后接一个带通滤波器 ( 在 FPGA 中应用自带 IP 核可轻松实现 ) 。由于滤波后的噪声能量会有损失,所以要做相应的能量补偿。之后,再将产生的带限高斯白噪声序列 ( 约 50000 点 ) 导入 Matlab 中,然后调用 hist 函数就可得到图 7 所示的序列直方图,而调用 psd 函数即可得到图 8 所示的序列功率谱图。从直方图可见带限高斯白噪声的概率密度函数是高斯型的,而从功率谱可见,在通带内,功率谱密度近似为常数,这些都与理论一致。

  • 方波逆变器的制作方法本文依据逆变电源的基本原理,利用对现有资料的分析推导,提出了一种方波逆变器的制作方法并加以调试。1系统基本原理本逆变电源输入端为蓄电池(+12V,容量90A h),输出端为工频方波电压(50Hz,31

  • Atmel ATA6843 汽车BLDC马达驱动解决方案Atmel公司的 ATA6843和ATA6844是三相无刷直流马达( BLDC)控制器,集成了微控制器和六个分立的功率MOSFET,并提供3.3V/5V线性稳压器和窗口看门狗,为汽车应用提供了完整的B

  • 基于CPCI和光纤接口的数据采集卡设计与实现摘要:设计了一套基于CPCI总线,PCI9054桥接芯片和可编程逻辑器件(FPGA)的高速数据采集卡。FPGA作为本地主控芯片,根据工控机经PCI9054转发的采集命令,通过光纤接口实现与雷达接收机的

  •